Materials, Processes, Integration and Reliability in Advanced Interconnects for Micro- and Nanoelectronics: Volume 990 - Symposium Held April 10-12, 2007, San Francisco, California, U.S.A. (Paperback)


In 2004, the microelectronics industry quietly ushered in the Nanoelectronics Era with the mass production of sub-100nm node devices. The current leading-edge semiconductor chips in mass production - the so-called 90nm node devices - have a transistor gate length of less than 50nm. This rapid technological advancement in the semiconductor industry has been made possible by innovations in materials employed in both transistor fabrication (front-end-of-the-line, FEOL) and interconnect fabrication (back-end-of-the-line, BEOL). The 90nm node BEOL features copper (Cu) interconnects and dielectric materials with a low-dielectric constant (k) of about 3.0. However, for the next generations of 65nm node and beyond, evolutionary and revolutionary innovations in BEOL materials and processes are needed to fuel the continued, healthy growth of the semiconductor. This book provides a forum to exchange the latest advances in materials, processes, integration, and reliability in advanced interconnects and packaging. The book also addressed interconnects for emerging technologies, including 3D chip stacking and optical interconnects, as well as interconnects for optoelectronics, plastic electronics and molecular electronics.

R843

Or split into 4x interest-free payments of 25% on orders over R50
Learn more

Discovery Miles8430
Mobicred@R79pm x 12* Mobicred Info
Free Delivery
Delivery AdviceShips in 12 - 17 working days


Toggle WishListAdd to wish list
Review this Item

Product Description

In 2004, the microelectronics industry quietly ushered in the Nanoelectronics Era with the mass production of sub-100nm node devices. The current leading-edge semiconductor chips in mass production - the so-called 90nm node devices - have a transistor gate length of less than 50nm. This rapid technological advancement in the semiconductor industry has been made possible by innovations in materials employed in both transistor fabrication (front-end-of-the-line, FEOL) and interconnect fabrication (back-end-of-the-line, BEOL). The 90nm node BEOL features copper (Cu) interconnects and dielectric materials with a low-dielectric constant (k) of about 3.0. However, for the next generations of 65nm node and beyond, evolutionary and revolutionary innovations in BEOL materials and processes are needed to fuel the continued, healthy growth of the semiconductor. This book provides a forum to exchange the latest advances in materials, processes, integration, and reliability in advanced interconnects and packaging. The book also addressed interconnects for emerging technologies, including 3D chip stacking and optical interconnects, as well as interconnects for optoelectronics, plastic electronics and molecular electronics.

Customer Reviews

No reviews or ratings yet - be the first to create one!

Product Details

General

Imprint

Cambridge UniversityPress

Country of origin

United Kingdom

Series

MRS Proceedings

Release date

June 2014

Availability

Expected to ship within 12 - 17 working days

First published

July 2012

Editors

, , ,

Dimensions

229 x 152 x 19mm (L x W x T)

Format

Paperback - Trade

Pages

358

ISBN-13

978-1-107-40871-5

Barcode

9781107408715

Categories

LSN

1-107-40871-7



Trending On Loot